查看原文
其他

HBM产业链专题报告:AI算力核心载体,产业链迎发展良机(附下载)



需要下载本报告的朋友,可以扫描下方二维码进圈,2万+份报告,3000+会员,高清原版,无限制下载,持续更新

(公众号资源有限,仅能展示部分少数报告,加入星球获取更多精选报告)




(精选报告来源:报告研究所)


1、HBM——AI 算力核心载体,需求持续高速增长

1.1、HBM 突破了内存容量与带宽瓶颈,性能优势突出

HBM(High Bandwidth Memory)即高带宽存储器,突破了内存容量与带宽瓶颈, 被视为新一代 DRAM 解决方案。其通过使用先进的封装方法(如 TSV 硅通孔技 术)垂直堆叠多个 DRAM,并与 GPU 封装在一起。相较于常见的 GDDR5 内存, HBM 拥有着更高的带宽,大幅提高了数据容量和传输速率,并且相同功耗下具有 超 3 倍的性能表现,和更小的芯片面积。

具体来看,HBM per stack 和 GDDR per chip 的标准参数对比之下,从单体可扩展 容量、带宽、功耗上 HBM 整体优于 GDDR。相对于 GDDR,HBM 主要有以下几 个优点:1. 可扩展更大容量:HBM 具有可扩展更大容量的特性。HBM 的单层 DRAM 芯 片容量可扩展;HBM 通过 4 层、8 层以至 12 层堆叠的 DRAM 芯片,可实现更大 的存储容量;HBM 可以通过 SiP 集成多个 HBM 叠层 DRAM 芯片,从而实现更 大的内存容量。2. 更低功耗:由于采用了 TSV 和微凸块技术,DRAM 裸片与处理器间实现了较 短的信号传输路径以及较低的单引脚 I/O 速度和 I/O 电压,使 HBM 具备更好的内 存功耗能效特性。3. 更小体积:在系统集成方面,HBM 将原本在 PCB 板上的 DDR 内存颗粒和 CPU 芯片一起全部集成到 SiP 里,因此 HBM 在节省产品空间方面也更具优势。

HBM 发展历程

自 2014 年首款 HBM 产品发布至今,HBM 技术已经发展至第五代,分别是:HBM (第一代)、HBM2(第二代)、HBM2E(第三代)、HBM3(第四代)、HBM3E (第五代),HBM 芯片容量从 1GB 升级至 24GB,带宽从 128GB/s 提升至 1.2TB/s, 数据传输速率从 1Gbps 提高至 9.2Gbps。HBM:2013 年 10 月,JEDEC 发布了第一个 HBM 标准 JESD235A;2014 年 SK Hynix 和AMD宣布联合开发 TSV HBM 产品;2015年6 月,SK Hynix 推出HBM1, 采用 4×2 Gbit 29nm 工艺 DRAM 堆叠,该芯片被用于 AMD GPU 等产品。HBM2:2016 年 1 月,三星宣布开始量产 4GB HBM2 DRAM,并在同一年内生产 8GB HBM2 DRAM;2018 年 11 月,JEDEC 发布了 JESD235B 标准,即 HBM2 技 术,支持最多 12 层 TSV 堆叠;2018 年三星率先推出 Aquabolt(HBM2),数据 带宽 3.7GB/s。SK Hynix 紧随其后推出 HBM2 产品,采用伪通道模式优化内存访 问并降低延迟,提高有效带宽。

HBM2E:2020 年 1 月,JEDEC 更新发布 HBM 技术标准 JESD235C,并于 2021 年 2 月更新为 JESD235D,即 HBM2E;2019 年,三星推出 Flashbolt(HBM2E), 堆叠 8 个 16 Gbit DRAM 芯片。SK Hynix 在 2020 年 7 月推出了 HBM2E 产品,是 当时业界速度最快的 DRAM 解决方案。目前 HBM2E 是 HBM 市场的主流产品。HBM3:2022 年 1 月,JEDEC 发布了 HBM3 高带宽内存标准 JESD238,拓展至实 际支持 32 个通道,并引入片上纠错(ECC)技术;SK Hynix 在 2021 年 10 月开 发出全球首款 HBM3,容量为 HBM2E 的 1.5x,运行带宽为 HBM2E 的 2x。

HBM3E:2024 年 2 月,三星已开始向客户提供 HBM3E 12H 样品,预计于 24H2 半年开始大规模量产;2024 年 2 月,美光开始量产 HBM3E 芯片,将应用于英伟 达 H200;2024 年 3 月,SK 海力士开始量产 HBM3E 芯片。

从 HBM 各代需求比例来看,根据 Trendforce,2023 年需求从 HBM2E 逐步转向 HBM3,需求占比约为 50%及 39%。随着使用 HBM3 的 AI 芯片陆续放量,2024 年 HBM3 市场需求将大幅增长,占比预计达 60%。

1.2、AI 算力驱动 HBM 需求爆发

随着 AI 不断渗透云端/电商服务、智能制造、金融保险、智慧医疗及智能驾驶辅 助等行业,AI 服务器与高端 GPU 需求不断上涨,并有望持续推动 HBM 市场规模 增长。与传统 DRAM 相比,HBM 具备高带宽、高容量、低延时与低功耗等优势, 可以加快 AI 数据处理速度,更适用于 ChatGPT 等高性能计算场景。当前 ChatGPT 等 AIGC(生成式人工智能)模型需要使用 AI 服务器进行训练与推理,其中训练 侧 AI 服务器基本需要采用中高端 GPU,如 Nvidia A100/H100 等,其中 HBM 的 渗透率接近 100%;而推理侧随着 AIGC 模型逐渐复杂化,AI 服务器采用中高端 GPU 将是发展趋势,并有望持续推动 HBM 渗透率也将快速提升。根据 TrendForce,预计 2023 年 AI 服务器(包含搭载 GPU、FPGA、ASIC 等)出 货量近 120 万台,同比增长 38.4%,占整体服务器出货量近 9%,至 2026 年将占 15%,2022~2026 年 AI 服务器出货量年复合增长率至 22%。

根据 TrendForce,预计 2024 年全球主要云服务厂商(CSP)Microsoft、Google、 AWS、Meta 对高端 AI 服务器(包含搭载 NVIDIA、AMD 或其他高端 ASIC 芯片 等)全球需求占比分别达 20.2%、16.6%、16%及 10.8%,合计将超过 60%。

1.3、HBM 加速迭代,存储大厂积极扩产

从竞争格局来看,2022 年三大原厂 HBM 市占率分别为 SK 海力士 50%、三星约 40%、美光约 10%,SK 海力士因具备先发优势,为 NVIDIA 提供 HBM3,目前市 场份额保持领先地位,而 2024 年三星将开始扩大对 NVIDIA 的 HBM3 供应,美光也开始批量生产 HBM3E,三星和美光有望逐步扩大在 HBM 市场份额。

HBM 厂商最新进展:SK 海力士:2013 年公司与 AMD 合作开发了全球首款 HBM,并持续研发出 HBM 迭代产品 HBM2、HBM2E、HBM3、HBM3E。公司计划在 2024H1 将 HBM3E 投 入量产。2026 年计划第六代 HBM4。三星:2016 年公司推出 HBM2,2020 年 2 月 HBM2E,2021 年 2 月推出了 HBMPIM(存算一体),其 HBM3 也于 2022 年量产,24 年发布首款 HBM3E。目前公 司已向客户提供 HBM3E 12H 样品,预计于 24H2 开始大规模量产。美光:公司选择跳过第四代 HBM3,直接布局第五代 HBM3E。2023 年 9 月推出 HBM3E,并于 24 年 2 月 26 日宣布已开始批量生产 HBM3E 解决方案。其中 24GB 8-High HBM3E 将成为 NVIDIA H200 Tensor Core GPU 的一部分,该 GPU 将于 2024 年第二季度开始发货。

受全球 GPU 需求持续高增长,HBM 领域的主要供应商 SK 海力士、三星和美光 等国际存储芯片大厂正加大产能扩张力度。SK 海力士:预计 2024 年 HBM 产能同比翻倍增长,同时,SK 海力士不仅计划在 美国印第安纳州建造一座最先进的制造工厂,还计划与闪存制造商铠侠在日本共同生产 HBM。此外,SK 海力士还计划在 2024 年保持 10 万亿韩元的新增资本支 出(比 2023 年增长了近七成),以支持 HBM 产能增长。三星:预计 HBM 芯片产量将比 2023 年增长 2.5 倍,2025 年将再次翻倍。三星目 前已收购韩国天安厂区内部分建筑及设备,用于 HBM 生产。美光:今年资本开支约 75 亿美元至 80 亿美元,主要用于 HBM 量产。此外,公 司于 2023 年 11 月 6 日在台中开设了新工厂,将致力大规模生产 HBM3E 以及 其他产品。

2、HBM 制造工艺演进,上游设备材料迎来发展良机

2.1、HBM 制造带来额外技术需求,TSV、键合等成为关键工艺

如前文所述,HBM 由数个 DRAM 颗粒和基础/逻辑颗粒(Base/Logic Die)垂直堆 叠组成,其后一般会采用 CoWoS 工艺,将 HBM 与 GPU/CPU/SoC 通过中介层 (Interposer)集成在同一封装体中,最终形成 AI/HPC 等应用所需的芯片。

HBM 的制造工艺流程主要包括:前道晶圆制造、TSV 工艺,然后对其进行晶圆测 试,其后在测试合格的晶圆两面进行 Bumping(凸块)工艺,凸块形成后便可以 进行数个 DRAM 芯片的 Stacking(堆叠)工艺,并和基础晶圆进行模塑,形成模制晶圆,切割制作成 KGSD(Known Good Stacked Die,良品堆叠裸片),便可以 送至 2.5D 封装体的客户手中进行后续和 GPU/CPU/SoC 的封装工艺。

首先,由于 HBM 是由数颗 DRAM 堆叠而成,因此显然也需要先将 DRAM 晶圆 生产制造出来,这一过程和前道晶圆制造一致,也需要经过光刻、刻蚀、沉积、 清洗、CMP 抛光、涂胶显影、离子注入、热处理,以及检测量测等工艺环节。

在正常的晶圆前道制造完成后,HBM 中的晶圆还需要进行 TSV 工艺和 Bumping工艺,为后续裸片之间的互连和堆叠提供基础,该工艺也是 HBM 产品相比典型 半导体产品制造的核心增量工艺之一。

TSV(Through Silicon Via,硅通孔)

顾名思义,是在整个硅晶圆厚度上打孔的技术,从而在晶圆正面和背面之间形成 垂直互连,最早用于替代引线键合,优势在于信号传输路径更短(垂直互连,信 号直接上下传输)、引脚数量大幅增加以及布线更加高效简单(引线键合无法利 用芯片中心空间且布线复杂)、封装尺寸较小。因此,TSV 逐渐成为提升 DRAM 性能和密度的重要手段。

工序方面,硅通孔通常也由前道晶圆制造 Fab 厂来完成,使用曝光及刻蚀工艺(形 成深槽)、沉积工艺(CVD 形成绝缘膜,PVD 和电镀形成金属层和电镀铜层)、 CMP 抛光工艺(来使表面平整),最后再进行后端金属化为凸块的制造和堆叠做 准备。通俗来讲,原来 Fab 厂需进行前端器件制造和后端金属化环节,TSV 则额 外又增加了形成硅通孔的环节,主要也是刻蚀、沉积、抛光等步骤。

硅通孔及金属化工序完成后,如通常晶圆制造一样,Fab 厂需对其进行晶圆测试 (Circuit Probing,CP 测试),通过探针台(封装前的晶圆需借助探针台来完成 和测试机的电气连接)和测试机的配合使用,对晶圆上的裸片 die 进行测试。确保 在芯片封装前,尽可能地把无效芯片筛选出来以节约封装费用。CP 测试是抽检。

Bumping(凸块)

晶圆测试完成后,良品晶圆便可以在正面和背面制作凸块。Bumping 工艺是 Flip Chip 封装、晶圆级封装等常用的一种工艺,在焊盘上制作凸块来与基板连接,以 代替传统的引线键合方式。其涉及工艺也主要为沉积(如 PVD、电镀)、曝光、 刻蚀等,此外最后的成型环节常采用回流焊(Reflow)工艺。Flip Chip 封装通常 只在晶圆正面制作凸块,而在 HBM 的 TSV 工艺中,则需要在晶圆正面和背面都 制作凸块,以便于后续和上下裸片堆叠。

此外,在 HBM 的 TSV 和 Bumping 工艺流程中,还需要进行的其他主要工序则包 括减薄和抛光、临时键合和解键合等。减薄和抛光,在正面凸块形成之后、背面凸块形成之前,需要对背面进行 TSV 铜 暴露,即是说将晶圆背面研磨减薄至电镀铜柱的一端暴露出来。然后进行 CMP 抛 光使晶圆表面平坦化。

另外,对于 HBM 中的晶圆而言,由于需要进行多个裸片的堆叠(HBM3 已经可 多达 12 层),因此单个晶圆的厚度要达到极薄的程度,对减薄工艺提出了十分严 苛的要求。

键合工艺

临时键合和解键合,在背面凸块工艺之前,需要先对已经完成凸块的晶圆正面进 行临时键合工艺,其主要作用在于解决超薄晶圆的取放问题,即,在对晶圆背面 进行凸块制作工艺时,将晶圆正面和晶圆载片进行临时键合,以起到承载和保护 晶圆正面的作用。而等背面凸块也已制作完成后,则需要将晶圆载片与晶圆正面 解键合,以进行后续堆叠工艺。临时键合首先要将粘结剂(固态粘结膜或液态临时键合胶)涂于载片或晶圆,然 后使用热压键合或 UV 固化方式使其键合牢固;解键合的主要方式有机械解键合 法、热滑移解键合法、化学解键合法、激光解键合法等。

而在 TSV 及 Bumping 工艺完成后,基本便进入到 Stacking(堆叠)环节,即通过 各种键合方法将 DRAM 堆叠连接起来,具体方法包括 TC-NCF、MR-MUF、Hybrid Bonding 等。

TCB(Thermal Compression Bonding,热压键合法)是一种常用的倒装键合和晶 圆键合的方法,以倒装键合为例,基板在涂敷助焊剂后,被真空吸附固定在定制 的加热板上,贴片头(bond head)吸起裸片后真空吸附在平整的贴合吸头(nozzle) 下,完成光学对位后,通过加热至锡球熔化温度以及给予裸片适当压力,使凸点 与基板连接,最后快速降温锡焊变为固相。TCB 方法单次处理需要时间较短,但由于不是批量处理,因此吞吐量相对较小, 设备价值量也相对较高。而在间距小、厚度薄的键合,以及对准精度较高的键合 方面,TCB 方法具有一定优势。

MR(Mass Reflow,批量回流焊法),同样是倒装键合使用较为广泛的方法之一, 具体指的是,将芯片上的凸块先浸蘸助焊剂,并贴在基板上,之后进入回流炉, 在特定的升温降温下,凸点焊球会熔化为液态,在润湿铜微柱的过程中基于表面 张力使得芯片回流对位,最后在降温作用下变成固相连接。

回流焊单次处理时间较长,但由于可以批量处理,因此产量和效率通常比 TCB 方 法高,劣势则在于:对于超薄产品而言,其缺陷率开始增加,包括翘曲、非接触 性断开、局部桥接等;以及间距越小、芯片越大,位置偏移失效可能越严重。

而 NCF、MUF 则指的是底部填充工艺所用的不同方法,该工艺主要是通过填充基 板与芯片间的空隙、或以凸块连接的芯片与芯片之间的空隙,增强了接合处的可 靠性。除了 NCF(Non Conductive Film,非导电膜)和 MUF(Molded Underfill, 模制底部填充)外,还主要包括 CUF(Capillary Underfill,毛细底部填充)和 NCP(Non Conductive Paste,非导电浆料)。

因此通过不同的键合和底部填充的结合,便形成了不同的方法,当前 HBM 中常 用的则主要为 TC-NCF 法和 MR-MUF 法,如同样为 HBM3E 产品,SK 海力士使 用了 Advanced MR-MUF 法,而三星则使用了 TC-NCF 法。

MR-MUF 是用特制的 EMC 直接完成缝隙填充和模塑过程,在较低压力和室温下 便可完成,而 TC-NCF 则需要用 NCF 材料在高温高压下进行。此外,MR-MUF 可 一次性将所有芯片间以及和基板间的连接完成,批量处理、吞吐量更高。

Hybrid Bonding(混合键合),是继引线键合、倒装键合、TCB 键合、扇出式封 装后最新一代的键合方式。指的是同时键合金属电极(如铜电极)和无机绝缘层 的方法。由于铜对铜、绝缘层对绝缘层贴合键合,所以在晶圆间或芯片间没有空 隙,不需要用环氧树脂进行填充。

混合键合的主要步骤包括等离子活性化、清洗、晶圆对准、预键合、退火。其优 势在于可以实现更小的间距、更高的互连密度、更短的互连距离,而缺点在于:键合需要超高平整度,内部应力需要管控以减少翘曲度,都对后道工艺控制提出 了严苛要求;对洁净等级提出了远高于传统封测厂的要求;晶圆对晶圆(Wafer to Wafer)键合时,无法在最初阶段就知道失效 die,要在完成键合、减薄、划片、测试后才能知道,因此良率受影响。

此前混合键合已在一些领域得到应用,比如背照式 CIS 中光电二极管+DRAM+逻 辑电路的堆叠,3D NAND 中 NAND block 和外围电路的堆叠等等。未来 HBM 也 有望使用混合键合方法来完成多层芯片的堆叠键合。

而在堆叠、键合工艺以及塑封工艺(MR-MUF 方法中,通过使用特制环氧塑封料, 键合和塑封是在同一个步骤完成的)完成后,HBM 便基本制造完成,对其测试后 良品便可以送至 2.5D 封装体客户来进行 HBM 和 GPU/CPU/SoC 的集成封装。以 CoWoS 为例,主要环节包括:Interposer(中介层)制作,核心工艺也为 TSV 工艺,以及 RDL 工艺,具体工序 也主要包括沉积、光刻、电镀、刻蚀、清洗、抛光等;芯片和中介层的连接键合以及中介层和基板的连接键合,工序主要包括倒装键合、 塑封等,不再重复赘述。

根据 3D InCities 早期的测算,4 层 DRAM 堆叠的 HBM 成本中,前端工艺(FEOL)、 后端工艺(BEOL,金属化等)各自占约 20%,TSV 创建(深硅刻蚀、沉积、电 镀、CMP 抛光等)和 TSV 暴露(减薄等)分别占约 18%和 12%,封装(键合等, 以相对更贵的 TCB 键合为测算基础)占约 15%,凸块和测试则分别占约 3%、1%。而在 4 层堆叠 HBM 和 ASIC 集成的 2.5D 封装成本中,则主要包括原始中介层成 本、前端工艺和后端工艺(主要为中介层的 RDL)、TSV 创建和暴露、凸块、封 装等工艺成本。

2.2、海内外 HBM 产能有序建设,驱动上游设备订单增长

在 HBM 制造和封测过程中,DRAM 晶圆本身的制造自不必说,即使是封测环节, 也较传统封装更大量用到了典型的制造工序。不管是 TSV 工艺、RDL 工艺还是 Bumping 工艺,刻蚀、沉积、抛光、曝光等均占了较大比例。

当然,在 HBM 及后续的 2.5D 封测中,也会用到传统封测工艺,如测试、划片、 塑封、减薄等,且部分工艺及其设备的重要性更为显著、要求也更为严格。

减薄机

由于 HBM 是 4 层乃至 12 层 DRAM 和基础 logic 的堆叠,单片晶圆要减薄至极薄 的程度,这便对减薄工艺以及减薄设备都提出了极为严苛的要求。全球晶圆减薄机市场规模约 6+亿美元,主要由日本 DISCO、东京精密等厂商主 导,尤其是 DISCO 份额较高。国内华海清科等厂商也有所突破,如华海清科布局减薄设备和减薄抛光一体设备,部分产品已通过客户验证并获得订单。

测试设备

测试主要包括 CP 测试和 FT 测试(Final Test),主要用到探针台、测试机、分选 台等设备。HBM 的测试有着新的挑战,包括:更高的密度和层数导致测试时间变 长,更高的接口速度要求更快的测试速度以及外围电路负载增加,以及 HBM 堆 叠和减薄带来的良率问题加大了对测试的要求。

除了传统的制造和封测工序以外,HBM 的封测过程中部分工序是额外新增或者重 要性更加凸显、增量显著的,如:

电镀设备

电镀是指在芯片制造过程中,将电镀液中的金属离子电镀到晶圆表面形成金属互 连。在封装领域,Bumping、TSV、RDL 等工艺中均需要金属化薄膜沉积工艺,因 此常采用电镀来进行铜、镍、锡、银、金等金属的沉积,尤其是电镀铜应用较多。全球半导体电镀设备市场规模约 8 亿美元,其中,前道电镀设备主要由 Lam Research 一家垄断;后道封装领域有 AMAT、Lam Research、Ebara、ASMP 等厂 商,其中 ASMP 的 nexx 系列产品主要为 8 英寸产品,而 Ebara 的产品在中国应用 较少。国内盛美上海(我司科创板做市企业)已实现较好销售。

直写光刻机

在封装领域,Bumping、TSV、RDL 等工艺均需要用到曝光环节,除了应用广泛 的掩膜光刻技术外,直写光刻也逐渐应用趋多,主要是由于先进封装对于对准精 度要求高,直写光刻在此方面更具优势,且由于不需要涂光刻胶,因此翘曲情况 会得到减少。2022 年全球激光直写光刻设备市场规模约 8+亿美元,其中 PCB 下 游占比较高。市场主要参与者包括 Orbotech(已被 KLA 收购)等。国内芯碁微装 在先进封装领域已经实现突破。

贴片固晶机(Die Attach Equipment)

对于传统引线封装而言,需要贴片和引线键合两个步骤来完成芯片和基板间的电 气连接,而自倒装封装开始,由于无需引线,因此贴片和键合步骤往往合并至倒 装键合(Flip chip bonding)。而键合工艺同样是 HBM 至关重要的核心工艺之一, HBM 常用的键合方法与倒装键合大体一致,主要包括 TCB 热压键合、MR 批量 回流焊等。

全球贴片机市场规模约 16 亿美元,是封装设备中占比较大的一类设备,其中,倒 装键合机市场规模为数亿美元。该类设备全球市场主要由 Besi、ASMPT、K&S、 HANMI 等海外厂商主导。

混合键合

随着 2.5D/3D 封装等异构集成技术发展,晶圆键合(Wafer Bonding)成为其至关 重要的技术之一,除了和倒装键合一样依然可以使用 TCB 等方法外,混合键合等 方法也逐渐兴起。具体来看,晶圆键合可分为直接键合(如熔融键合和混合键合)、 介质层键合(如 TCB 键合、焊料键合等)。而根据键合后是否解键合,又分为临 时键合和永久键合。据 Yole 统计,2020 年全球永久键合设备市场规模约 2.6 亿美元,临时键合及解键 合设备市场规模约 1.06 亿美元,而近年异构集成快速发展,我们判断晶圆键合的 需求和设备市场规模增速值得乐观。

如前文所述,混合键合作为一种快速发展的直接键合方法,通过铜对铜、绝缘层 对绝缘层贴合键合,在晶圆间或芯片间没有空隙,不需要用环氧树脂进行填充。而根据键合的产品不同,混合键合如同其他晶圆键合一样,可分为 D2D(Die to Die,裸片对裸片)键合、D2W(Die to Wafer,裸片对晶圆)键合、W2W(Wafer to Wafer)键合。

HBM 未来有望采用混合键合,由于混合键合是直接键合、不用环氧塑封料填充, 因此可以实现更薄的 HBM 厚度或更多层的 DRAM 堆叠。目前混合键合处于蓬勃 发展的早期,设备出货量和市场规模还相对较小,未来随着存储和逻辑等领域均 有望更多采用混合键合,其设备市场想象空间巨大。当前全球晶圆键合设备主要由 EV Group、Besi 等少数海外厂商主导,而国内拓荆 科技(混合键合设备)、芯源微(临时键合和解键合设备)、上海微电子等厂商 也在有序布局和突破。

2.3、HBM 扩产拉动上游材料需求,国产替代空间广阔

完整报告下载:因篇幅限制,本报告完整版PDF已分享到报告研究所知识星球,扫描图片中二维码进圈即可下载!


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。


全文完。感谢您的耐心阅读,请顺手点个"在看"吧~



业务咨询、商务合作:136 3162 3664(同微信)


欢迎加入报告研究所知识星球社群,入圈后您可以享受以下服务:

  1. 报告持续更新,分享最新、最深度的行业研究报告、投行报告、白皮书、蓝皮书、公司研报、统计报告等。公众号发布的报告也可同步下载;

  2. 海量研究报告均可下载PDF高清版,无限制;

  3. 以提问方式获取具体报告需求;


扫描下方二维码加入星球


关于我们

我们是报告专业研究机构及知识服务平台。专注于分享国内外各类行业研究报告、热点专题报告、调研分析报告、白皮书、蓝皮书等。所有报告来源于国内外数百家知名研究机构,覆盖新能源汽车、数字经济、新材料、新能源、信息技术、先进制造、节能环保等新兴产业,并涉及医药生物、金融、互联网+、医疗大健康等众多行业及细分领域。目前报告已累积近50000+,并持续更新。


报告涵盖但不限于:

1、新能源汽车、数字经济、新材料、新能源、信息技术、先进制造、节能环保;

2、互联网、新媒体、短视频、抖音、快手、小红书;

3、元宇宙、5G、区块链、人工智能;

4、房地产、金融、券商、保险、私募;

5、零售、快消品、餐饮、教育、医疗、化妆品、旅游酒店;


温馨提示


应广大粉丝要求,「报告研究所」成立了报告交流群,欢迎各位公司企业、投行、投资机构、政府机构、基金、创业者及金融资本圈朋友加入!


这里能且不限于:“行业交流、报告交流、信息交流、寻求合作等......”


入群方式:扫描 / 识别二维码加助理好友,发送「个人纸质名片」或「电子名片」审核后即可入群。

免责声明:
本平台只做内容的收集及分享,报告版权归原撰写发布机构所有,报告均通过公开合法渠道获得,如涉及侵权,请联系我们删除;如对报告内容存疑,请与撰写、发布机构联系


继续滑动看下一个
报告研究所
向上滑动看下一个

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存